Phase Epitaxy (phase + epitaxy)

Distribution by Scientific Domains

Kinds of Phase Epitaxy

  • hydride vapor phase epitaxy
  • liquid phase epitaxy
  • metal organic vapor phase epitaxy
  • metal-organic vapor phase epitaxy
  • metal-organic vapour phase epitaxy
  • metalorganic vapor phase epitaxy
  • metalorganic vapour phase epitaxy
  • organic vapor phase epitaxy
  • vapor phase epitaxy
  • vapour phase epitaxy


  • Selected Abstracts


    Bulk growth of gallium nitride: challenges and difficulties

    CRYSTAL RESEARCH AND TECHNOLOGY, Issue 12 2007
    M. Bockowski
    Abstract The present status of the GaN bulk growth by High Pressure Solution (HPS) method and combination of HPS and Hydride Vapor Phase Epitaxy (HVPE) methods is reviewed. Up to now the spontaneous high pressure solution growth of GaN results in crystals having habit of hexagonal platelets of surface area of 3 cm2 or needles with length up to 1 cm. Recently, the platelets and needles have been used as seeds for the HVPE growth. On the other hand, the LPE technique under pressure with pressure-grown GaN (hp-GaN), GaN/sapphire template, patterned GaN/sapphire template and free standing HVPE GaN as seeds has been examined and developed. (© 2007 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


    UV emission on a Si substrate: Optical and structural properties of ,-CuCl on Si grown using liquid phase epitaxy techniques

    PHYSICA STATUS SOLIDI (A) APPLICATIONS AND MATERIALS SCIENCE, Issue 5 2009
    A. Cowley
    Abstract Considerable research is being carried out in the area of wide band gap semiconductor materials for light emission in the 300,400 nm spectral range. Current materials being used for such devices are typically based on II,VI and III-nitride compounds and variants thereof. However, one of the major obstacles to the successful fabrication of III-N devices is lattice mismatch-induced high dislocation densities for epitaxially grown layers on non-native substrates. ,-CuCl is a direct bandgap material and an ionic wide bandgap I,VII semiconductor with a room temperature free exciton binding energy of ,190 meV (compared to ,25 meV and ,60 meV for GaN and ZnO, respectively) and has a band gap of 3.4 eV (, , 366 nm). The lattice constant of ,-CuCl (0.541 nm) is closely matched to that of Si (0.543 nm). This could, in principle, lead to the development of optoelectronic systems based on CuCl grown on Si. Research towards this end has successfully yielded polycrystalline ,-CuCl on Si(100) and Si(111) using vacuum-based deposition techniques [1]. We report on developments towards achieving single crystal growth of CuCl from solution via Liquid Phase Epitaxy (LPE) based techniques. Work is being carried out using alkali halide flux compounds to depress the liquidus temperature of the CuCl below its solid phase wurtzite-zincblende transition temperature (407 °C [2]) for solution based epitaxy on Si substrates. Initial results show that the resulting KCl flux-driven deposition of CuCl onto the Si substrate has yielded superior photoluminescence (PL) and X-ray excited optical luminescence (XEOL) behavior relative to comparitively observed spectra for GaN or polycrystalline CuCl. This enhancement is believed to be caused by an interaction between the KCl and CuCl material subsequent to the deposition process, perhaps involving a reduction in Cl vacancy distributions in CuCl. This paper presents a detailed discussion of a CuCl LPE growth system as well as the characterization of deposited materials using X-ray diffraction (XRD), room temperature and low temperature PL, and XEOL. (© 2009 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


    Analysis of epitaxial laterally overgrown silicon structures by high resolution x-ray rocking curve imaging

    CRYSTAL RESEARCH AND TECHNOLOGY, Issue 5 2009
    B. Heimbrodt
    Abstract Spatially resolved rocking curve imaging has been used to analyze laterally overgrown silicon layers grown by liquid phase epitaxy. We were able to study both the overgrown layer as well as the strain fluctuations of the Si substrate underneath by means of a tabletop x-ray topographic setup. The strain-field analysis reveals relative changes of the lattice parameter up to 3.5×10 -6 in the silicon substrate underneath the overgrown layer in particular regions and a down bending of both wings of the epitaxial overgrown layers. (© 2009 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


    Growth and characterisation of Zn:LiNbO3/Mg:LiNbO3 multilayer thin films grown by liquid phase epitaxy

    CRYSTAL RESEARCH AND TECHNOLOGY, Issue 9 2006
    H. J. Lee
    Abstract 1, 3 and 5 mol% ZnO doped LiNbO3 film and 2 mol% MgO doped LiNbO3 multilayer films were grown on the LiNbO3 (001) substrate by liquid phase epitaxy (LPE) method with a Li2O-V2O5 system. We examined the optical transmission spectra of the Zn:LiNbO3 by Fourier Transform-Infrared Spectrophotometer (FT-IR). The crystallinity and the lattice mismatch between the Zn:LiNbO3 film and Mg:LiNbO3 film was confirmed by x-ray rocking curve (XRC) and observed the ZnO and MgO distribution in the cross-section of the multilayer thin films by electron probe micro analyzer (EPMA). Furthermore, the surface morphology of the films was observed using atomic force microscopy (AFM). (© 2006 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


    Large area lateral overgrowth of mismatched InGaP on GaAs(111)B substrates

    CRYSTAL RESEARCH AND TECHNOLOGY, Issue 12 2005
    S. Uematsu
    Abstract Application of InGaAs/InGaP double-heterostructure (DH) lasers increases the band offset between the cladding layer and the active layer more than the use of conventional 1.3 µm InGaAsP/InP lasers. As a first step in realizing 1.3 µm InGaP/InGaAs/InGaP DH lasers, we proposed InGaP lattice-mismatched epitaxial lateral overgrowth (ELO) technique and successfully carried out the InGaP growth on both GaAs (100), (111)B and InP (100) substrates by liquid phase epitaxy. In this work, we grew the InGaP crystal on GaAs (111)B substrate by adjusting Ga and P composition in In solution, to obtain In0.79Ga0.21P (, = 820 nm) virtual substrate for 1.3 µm InGaAs/InGaP DH lasers. To grow the InGaP all over the lateral surface of the substrate, the growth time was extended to 6 hours. The amount of InGaP lateral growth up to 2 hours was gradually increased, but the lateral growth was saturated. The InGaP lateral width was about 250 µm at the growth time of 6 hours. We report the result that optical microscope observation, CL and X-ray rocking curve measurements and reciprocal lattice space mapping were carried out to evaluate the crystal quality of the grown InGaP layers. (© 2005 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


    Development of InN metalorganic vapor phase epitaxy using in-situ spectroscopic ellipsometry

    CRYSTAL RESEARCH AND TECHNOLOGY, Issue 10-11 2005
    M. Drago
    Abstract Metalorganic vapor phase epitaxy of InN layers on sapphire was studied in-situ by spectroscopic ellipsometry (SE), ex-situ atomic force microscopy and optical microscopy. Surface morphology has been largely improved by using nitrogen instead of hydrogen as carrier gas during sapphire nitridation. Using the sensitivity of in-situ SE with respect to roughness we established a new growth procedure with low V/III ratio (104) at high temperature (580 °C) and growth rates as high as 350 nm/h, leading to improved electronic layer properties and allowing for growth of comparably thick layers. (© 2005 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


    Numerical modeling and investigation of liquid phase epitaxy of Hg1,xCdxTe infrared detectors

    CRYSTAL RESEARCH AND TECHNOLOGY, Issue 9 2005
    K. Lin
    Abstract Numerical investigations have been performed for modeling the global temperature field of an industrial liquid phase epitaxy (LPE) facility and to estimate the temperature fluctuations in a Te-rich solution during the LPE growth. The numerical results agreed well with experimental data and therefore provide reliable reference points for experimenters for further improvements of the growth conditions. (© 2005 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


    MOVPE growth and characterization of a -plane AlGaN over the entire composition range

    PHYSICA STATUS SOLIDI - RAPID RESEARCH LETTERS, Issue 7 2010
    Masihhur R. Laskar
    Abstract We report the metal organic vapor phase epitaxy (MOVPE) growth and characterization of non-polar (110) a -plane Alx Ga1,xN on (102) r -plane sapphire substrates over the entire composition range. Alx Ga1,xN samples with ,0.8 ,m thick layers and with x = 0, 0.18, 0.38, 0.46, 0.66, and 1.0 have been grown on r -plane sapphire substrates. The layer quality can be improved by using a 3-stage AlN nucleation layer and appropriate V/III ratio switching following nucleation. All a -plane AlGaN epilayers show an anisotropic in-plane mosaicity, strongly influenced by Al incorporation and growth conditions. Careful lattice parameter measurements show anisotropic in-plane strain that results in an orthorhombic distortion of the hexagonal unit cell, making Al composition determination from X-ray diffraction difficult. In general lower Al incorporation is seen in a -plane epilayers compared to c -plane samples grown under the same conditions. (© 2010 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


    Optimization of homoepitaxially grown AlGaN/GaN heterostructures

    PHYSICA STATUS SOLIDI (A) APPLICATIONS AND MATERIALS SCIENCE, Issue 10 2010
    J. A. Grenko
    Abstract We report on the growth of Al0.25Ga0.75N/GaN heterostructures on low dislocation density semi-insulating c -axis GaN substrates by metalorganic vapor phase epitaxy (MOVPE). A room temperature (RT) Hall mobility (µRT) up to 2065,cm2,V,1,s,1 at sheet density (ns) of 8.25,×,1012,cm,2 has been measured. This work compliments prior studies in which we observed a buffer-induced modulation of the RT two-dimensional electron gas (2DEG) ns and µRT by varying the GaN buffer layer thickness. Here, we focus on the optimization of the heterostructure 2DEG properties by elimination of silicon doping in the Al0.25Ga0.75N barrier and unintentional Al in the not-intentionally doped (n.i.d.) GaN buffer layer. The 15% improvement in µRT and ns relative to previous results is consistent with those predicted by Poisson solver calculations. Use of thick GaN buffers has minimized the theoretical mobility reduction based on intersubband scattering and has enabled us to determine the 2DEG sheet density associated with the polarization field () to be ,5,×,1012,cm,2. [source]


    AlInN HEMT grown on SiC by metalorganic vapor phase epitaxy for millimeter-wave applications

    PHYSICA STATUS SOLIDI (A) APPLICATIONS AND MATERIALS SCIENCE, Issue 6 2010
    Shiping Guo
    Abstract In this work we present the epitaxial and device results of AlInN/GaN HEMTs grown on SiC by metalorganic vapor phase epitaxy. High quality AlInN/GaN HEMT structures with sub-10,nm AlInN barrier were grown with very low Ga background level (<1%). The low Rsh of 215,,/sq was obtained with an excellent standard deviation of 1.1% across 3, wafers. Lehighton RT contactless Hall tests show a high mobility of 1617,cm2/V,s and sheet charge density of 1.76,×,1013/cm2. DC characteristics of an AlInN/GaN HEMT with a gate length of 0.1,µm and 25,nm Al2O3 passivation show maximum drain current (IDS,max) of 2.36,A/mm at VGS,=,2,V. Gate recessed devices with 0.15,µm gate length and 25,nm Al2O3 passivation resulted in maximum transconductance (gm) of 675,mS/mm, the highest value ever reported in AlInN transistors. Excellent frequency response was obtained. The maximum fT is 86,GHz and fmax is 91.7,GHz. [source]


    The ,-particle excited scintillation response of YAG:Ce thin films grown by liquid phase epitaxy

    PHYSICA STATUS SOLIDI (A) APPLICATIONS AND MATERIALS SCIENCE, Issue 7 2009
    Petr Prusa
    Abstract Y3Al5O12:Ce (YAG:Ce) thin films were grown from PbO-, BaO-, and MoO3 -based fluxes using the liquid phase epitaxy (LPE) method. Photoelectron yield, its time dependence within 0.5,10 ,s shaping time, and energy resolution of these samples were measured under ,-particle excitation. For comparison a sample of the Czochralski grown bulk YAG:Ce single crystal was measured as well. Photoelectron yield values of samples grown from the BaO-based flux were found superior to other LPE films and comparable with that of the bulk single crystal. The same is valid also for the time dependence of photoelectron yield. Obtained results are discussed taking into account the influence of the flux and technology used. Additionally, , particle energy deposition in very thin films is modelled and discussed. (© 2009 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


    Nitride-based quantum structures and devices on modified GaN substrates

    PHYSICA STATUS SOLIDI (A) APPLICATIONS AND MATERIALS SCIENCE, Issue 6 2009
    Piotr Perlin
    Abstract We have studied the properties of InGaN layers and quantum wells grown on gallium nitride substrates with intentional surface misorientation with respect to its crystalline c -axis. Misorientation varied in the range from 0 up to 2 degree. The indium content was changed by using the different growth temperature (between 750 °C and 820 °C) during metalorganic vapor phase epitaxy. With increasing misorientation angle the average indium content decreased significantly. This effect was accompanied by the strong increase of the emission line bandwidth suggesting more pronounced indium segregation. The results of cathodoluminescence measurements show that these effects correspond to different number of atomic steps/terraces existing on the surface of gallium nitride substrate. Very interesting result is also demonstrated concerning p-type GaN layers. With increasing misorientation, the free hole density drastically increases above 1018 cm,3. This improvement in p-type doping is not related to the increased Mg concentration but to the reduction in the compensating donor density. Using this advantage we demonstrate nitride light emitters with improved electrical properties. (© 2009 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


    Three-dimensionally structured silicon as a substrate for the MOVPE growth of GaN nanoLEDs

    PHYSICA STATUS SOLIDI (A) APPLICATIONS AND MATERIALS SCIENCE, Issue 6 2009
    Sönke Fündling
    Abstract Three-dimensionally patterned Si(111) substrates are used to grow GaN based heterostructures by metalorganic vapour phase epitaxy, with the goal of fabricating well controlled, defect reduced GaN-based nanoLEDs. In contrast to other approaches to achieve GaN nanorods, we employed silicon substrates with deep etched nanopillars to control the GaN nanorods growth by varying the size and distance of the Si pillars. The small footprint of GaN nanorods grown on Si pillars minimise the influence of the lattice mismatched substrate and improve the material quality. For the Si pillars an inductively coupled plasma dry-etching process at cryogenic temperature has been developed. An InGaN/GaN multi quantum well (MQW) structure has been incorporated into the GaN nanorods. We found GaN nanostructures grown on top of the silicon pillars with a pyramidal shape. This shape results from a competitive growth on different facets as well as from surface diffusion of the growth species. Spatially resolved optical properties of the structures are analysed by cathodoluminescence. Strongly spatial-dependent MQW emission spectra indicate the growth rate differences on top of the rods. (© 2009 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


    Optimization of InGaN/(In,Al,Ga)N based near UV-LEDs by MQW strain balancing with in-situ wafer bow sensor

    PHYSICA STATUS SOLIDI (A) APPLICATIONS AND MATERIALS SCIENCE, Issue 2 2009
    A. Knauer
    Abstract A high resolution curvature sensor was used for in-situ monitoring of the strain state during the growth of the InGaN multiple-quantum-well (MQW) for near UV light emitting diodes (LEDs). The LED heterostructures were grown by metal-organic vapor phase epitaxy. LEDs containing different Inx Al0.16Ga0.84,xN barrier layers were compared. The results were correlated with the external quantum efficiency (EQE) and the current induced shift of the emission wavelength of the LEDs. It was found that strain-compensated or slightly compressively strained Inx Al0.16Ga0.84,xN barrier layers in the MQW, for which the net polarization in the InGaN quantum wells is close to zero, result in the highest EQE and in a stable emission wavelength independent of the drive current. (© 2009 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


    Direct bonding conditions of ferrite garnet layer on ion-exchanged glass waveguides

    PHYSICA STATUS SOLIDI (A) APPLICATIONS AND MATERIALS SCIENCE, Issue 10 2008
    Anne-Laure Joudrier
    Abstract In order to realize an integrated optical isolator, a new hybrid optical structure has been proposed. This structure is composed of an active magneto-optic garnet layer with a strong refractive index, transferred on a passive ion-exchanged glass waveguide. Given the thermal restraints relating to the stability of the glass waveguides, the process used to achieve this hybrid structure is composed of two main steps: firstly, good quality garnet films were grown by liquid phase epitaxy, then these active films were bonded successfully on glass wafer. According to modelling, the thickness of the garnet layer does not exceed 300 nm for maintaining a good confinement. Good wafer bondings on ion-exchanged glass waveguides are obtained. (© 2008 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


    MOVPE growth and optical characterization of GaAsN films with higher nitrogen concentrations

    PHYSICA STATUS SOLIDI (A) APPLICATIONS AND MATERIALS SCIENCE, Issue 7 2006
    F. Nakajima
    Abstract We have successfully grown high-N-content GaAsN films up to 5.1% on GaAs(001) substrates using tertiarybutylarsine (TBAs) as the As precursor by metalorganic vapour phase epitaxy (MOVPE). The narrow X-ray diffraction (XRD) peaks and clear Pendellosung fringes indicate that the GaAsN/GaAs interface is fairly flat and the GaAsN layers are uniform. By the photoluminescence (PL) measurement at 10 K, the clear PL peaks related to the near-band-edge transition could be detected and the bandgap energy was red-shifted to 1.16 eV in 1.9%-N GaAsN film. But, in higher N-content films no peak could be detected. So, post growth annealing in the reactor was applied to 4.7% and 5.1%-N films, and resulted in an enhancement of the PL peak intensity, and the bandgap energy of 5.1%-N film was consequently determined to be 0.95 eV at room temperature. (© 2006 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


    Growth of epitaxial garnet film by LPE for application to integrated magneto-optic light switch arrays

    PHYSICA STATUS SOLIDI (A) APPLICATIONS AND MATERIALS SCIENCE, Issue 8 2004
    J. H. Park
    Abstract We investigate the dependence of the properties of garnet films grown by liquid phase epitaxy (LPE) on the procedure and the temperature of growth to tailor magnetic properties of Bi, Gd, Ga:YIG film for application to integrated magneto-optic light switch arrays. Because the yttrium and gallium concentrations of the films decreased slightly and the bismuth, iron concentrations of the films increased slightly with decreases of the growth temperature, the magnetic properties of the garnet film grown by LPE are very sensitive specially to a growth temperature. The decrease of Hsat with decreasing growth temperature indicates that the perpendicular magnetic anisotropy energy of the film decreases. The Bi, Gd, Ga:YIG film grown at around 730 °C have good magnetic properties, i.e., a low value of 4,Ms of 120 G, a low value of saturation field Hsat of 168 Oe, and a low perpendicular magnetic anisotropy for application of the magneto-optic spatial light modulators. (© 2004 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


    Development of CdSSe/CdS VCSELs for Application to Laser Cathode Ray Tubes

    PHYSICA STATUS SOLIDI (A) APPLICATIONS AND MATERIALS SCIENCE, Issue 4 2004
    K. P. O'Donnell
    Abstract This report summarises recent progress towards the realisation of Laser Cathode Ray Tube (LCRT) devices on the basis of II,VI semiconductors. Although such devices were demonstrated over 30 years ago, using bulk crystalline materials as the active media, practical lasers that operate at room temperature for extended periods of time are not yet readily available. We aim to overcome this roadblock by reducing the threshold power densities of working lasers. By embedding heterostructures, grown using metalorganic vapour phase epitaxy (MOVPE), within all-dielectric microcavities, the necessary threshold reductions can be made. The construction and testing of an exemplar device, based upon CdSSe/CdS (hex) multiple quantum wells, is described. (© 2004 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


    GaAs nanowires grown by MOVPE

    PHYSICA STATUS SOLIDI (B) BASIC SOLID STATE PHYSICS, Issue 6 2010
    Jens Bauer
    Abstract GaAs nanowire (NW) growth was studied by metal-organic vapour phase epitaxy (MOVPE). The vapour,liquid,solid (VLS) mechanism with gold-based alloy particles and the selective-area growth (SAG) mechanism on electron beam lithographically prepared SiNx/GaAs mask structures were applied. A special focus is set on thermodynamic aspects of the VLS process. The alloy particle formation and the influence of MOVPE growth parameters on the growth rate and the GaAs NW morphology are examined. Furthermore, the improvement of the real structure with particular interest on the twin formation is studied. Besides the commonly used continuous VLS growth mode also a pulsed VLS growth mode with alternating precursor supply is reported. Based on photoluminescence measurements the effect of strain in core/shell NW structures is confirmed. For the SAG mechanism the MOVPE growth parameters are determined and the real structure is described. [source]


    Charge deep level transient spectroscopy of electron traps in MOVPE grown n-GaN on sapphire

    PHYSICA STATUS SOLIDI (B) BASIC SOLID STATE PHYSICS, Issue 11 2008
    Zahid Hasan Mahmood
    Abstract We report investigation of electron traps in n-GaN, grown on sapphire by metal organic vapour phase epitaxy, by using charge deep level transient spectroscopy (Q -DLTS). Measurements have been made isothermally by rate window scanning over the temperature range 300,370 K and for rate windows in the range 105 s,1 to 1 s,1. Two traps are observed in this range with (i) activation energies ,0.58 eV and ,0.45 eV and (ii) capture cross sections ,2 × 10,15 cm2 and ,3 × 10,19 cm2 respectively. The first of these defects has been observed in all of the DLTS investigations reported in the literature. However, the second trap has not been seen in majority of the earlier reports. Possible reasons for this difference are discussed. (© 2008 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


    On the way to InGaN quantum dots embedded into monolithic nitride cavities

    PHYSICA STATUS SOLIDI (B) BASIC SOLID STATE PHYSICS, Issue 6 2007
    K. Sebald
    Abstract We present photoluminescence measurements on single InGaN quantum dots (QDs) grown by metalorganic vapor phase epitaxy, and on monolithicly grown GaN-based quantum well airpost pillar microcavities. The observed sharp emission lines of the quantum dots are characterized by excitation density dependent measurements. The photoluminescence of individual quantum dots can easily be detected for temperatures up to 150 K. The micro-photoluminescence measurements on microcavities reveal three-dimensional confined optical modes which are not seen in the luminescence of the simply planar cavity. The realization of rather temperature stable QDs as well as of nitride based microcavity samples are promising with respect to the intended implementation of QD layers into microcavities. (© 2007 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


    Post-annealing effect upon phosphorus-doped ZnTe homoepitaxial layers grown by MOVPE

    PHYSICA STATUS SOLIDI (B) BASIC SOLID STATE PHYSICS, Issue 5 2007
    Katsuhiko Saito
    Abstract The effect of post-annealing treatment upon the photoluminescence (PL) spectra of phosphorus-doped ZnTe homoepitaxial layers grown by metalorganic vapour phase epitaxy using tris-dimethylaminophosphorus (TDMAP) has been investigated. PL properties at 4 K of the layers are dramatically improved by the post-annealing in nitrogen flow, i.e. donor,acceptor pair emission vanishes and instead free-to-bound transition emission (FB) and broadened acceptor-related excitonic emission (Ia) appear. PL intensity at room temperature is enhanced remarkably by the treatment. While the post-annealing treatment in hydrogen flow also gives an increase in PL intensity at room temperature of the layer, PL spectrum at 4 K is almost unchanged. The intensity ratio of FB to broadened Ia for the layer after post-annealing treatment in nitrogen flow increases and the broadened Ia shifts towards longer wavelength side with increasing TDMAP transport rate. (© 2007 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


    Evidence of different confinement regimes in site-controlled pyramidal InGaN structures

    PHYSICA STATUS SOLIDI (B) BASIC SOLID STATE PHYSICS, Issue 12 2005
    V. Pérez-Solórzano
    Abstract We deposited InGaN on GaN micropyramids, which were grown by selective metal-organic vapor phase epitaxy on sapphire. We expected the formation of a quantum well on the side-walls of the pyramids, a quantum wire on the edges, and a quantum dot on its apex. We studied the emission properties of these structures using low-temperature time-resolved photoluminescence. Our measurements showed three regions of different confinement within the emission in the wavelength range between 2.12 eV and 2.40 eV. By analyzing the decay behavior, the characteristics of the luminescence was determined to originate from localized states. (© 2005 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


    The influence of aluminum composition of AlxGa1,xAs in distributed Bragg reflector on surface morphology

    PHYSICA STATUS SOLIDI (B) BASIC SOLID STATE PHYSICS, Issue 12 2004
    B. Kim
    Abstract Surface morphology of the AlGaAs/GaAs Vertical Cavity Surface Emitting Laser (VCSEL) grown by metalorganic vapor phase epitaxy (MOVPE) was investigated using atomic force microscopy. It is shown that the morphology of the structure strongly depends on the aluminum composition of the low Al content layer of distributed Bragg reflector (DBR) pairs and epi thickness. Whereas a high Al content layer in DBRs had little effect on the surface morphology, it was attributed that the influence of Al composition on the morphology of AlxGa1,xAs on GaAs was stronger in the range of 0 < x < 0.5 than 0.5 < x < 1. (© 2004 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


    Growth of GaN on a -plane sapphire: in-plane epitaxial relationships and lattice parameters

    PHYSICA STATUS SOLIDI (B) BASIC SOLID STATE PHYSICS, Issue 2 2003
    T. Paskova
    Abstract We have studied GaN films grown on a -plane sapphire substrates by both hydride vapor phase epitaxy (HVPE) and metalorganic vapor phase epitaxy (MOVPE). The in-plane orientation relationships between the epitaxial films and the substrate are determined to be [11,20]GaN , [0001]sapphire and [1,100]GaN , [1,100]sapphire in the HVPE growth, while [1,100]GaN , [0001]sapphire and [11,20]GaN , [1,100]sapphire are found in the MOVPE growth. The different orientation preferences are attributed to the atom termination of the sapphire surface determined by the substrate treatment used in the different growth methods. The effect of the lattice matches on the in-plane lattice parameters and strain anisotropy in the two cases is studied. (© 2003 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


    Monolithic electro-optically modulated vertical cavity surface emitting laser with 10 Gb/s open-eye operation

    PHYSICA STATUS SOLIDI (C) - CURRENT TOPICS IN SOLID STATE PHYSICS, Issue 10 2010
    T. D. Germann
    Abstract A vertical-cavity surface-emitting laser with a monolithically integrated electro-optical modulator (EOM VCSEL) is fabricated using metal-organic vapor phase epitaxy. 3 dB extinction ratio of the modulator at a very low power consumption and open-eye operation of the EOM VCSEL at 10 Gb/s is demonstrated. The modulation of the 845 nm output is temperature-stable up to 85 °C. (© 2010 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


    Effective mass of InN estimated by Raman scattering

    PHYSICA STATUS SOLIDI (C) - CURRENT TOPICS IN SOLID STATE PHYSICS, Issue 7-8 2010
    Jung Gon Kim
    Abstract We have estimated the longitudinal effective mass (m,) of electron in n-type InN films by Raman scattering. The samples were grown by MOVPE (metal organic vapor phase epitaxy) with free carrier concentration of n =6.7×1018 -9.9×1018 cm -3 according to Hall measurement. A weak Raman signal observed at ,430 cm -1 at room temperature was sharpened and shifted to higher frequency toward the A1(TO)-phonon mode at 447 cm -1 with increasing n. This mode was assigned to the lower branch (L - ) of the longitudinal-optic-phonon-plasmon-coupled (LOPC) mode. The line shape was carefully analyzed by a semi-classical line-shape fitting analysis assuming deformation potential and electro-optic coupling mechanisms for the light scattering process. A line-shape fitting analysis was conducted by adjusting three major parameters; electron density, effective mass and plasmon damping rate. The analysis well reproduced values of electron density and mobility deduced by Hall measurement. Electron effective mass of m,*/m0 = 0.05 (±0.01) was also obtained as the best-fit parameter. The result agrees well with previous data obtained by other optical methods. (© 2010 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


    Examination of intermediate species in GaN metal-organic vapor-phase epitaxy by selective-area growth

    PHYSICA STATUS SOLIDI (C) - CURRENT TOPICS IN SOLID STATE PHYSICS, Issue 7-8 2010
    Masakazu Sugiyama
    Abstract The major reactive intermediate species of GaN has been deduced and its surface reaction rate constant has been obtained through the analysis of multi-scale growth-rate profiles both in the reactor-scale and in the micrometer-scale that were obtained by selective-area growth. Usually, it is difficult to explore surface reaction kinetics, especially for metal-organic vapour phase epitaxy (MOVPE), because of mass-transfer-limited kinetics. This multi-scale analysis, however, has clarified that a single precursor, a gas-phase reaction product between (CH3)3Ga and NH3, leads to the growth of GaN with a surface reaction probability of approximately 0.4 at 1400 K which is a typical growth temperature of GaN. Contribution of higher-order polymers was not significant in growth rate, but they seemed to be a cause of degraded surface morphology. A lumped reaction model of GaN MOVPE was proposed that led to reasonable agreement between a simulated growth-rate profile in the reactor-scale and a corresponding measured profile, which would lead to improved design of reactors and growth conditions. (© 2010 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


    GaN nanorods and LED structures grown on patterned Si and AlN/Si substrates by selective area growth

    PHYSICA STATUS SOLIDI (C) - CURRENT TOPICS IN SOLID STATE PHYSICS, Issue 7-8 2010
    Shunfeng Li
    Abstract GaN nanorods (NRs) show promising applications in high-efficiency light emitting diodes, monolithic white light emission and optical interconnection due to their superior properties. In this work, we performed GaN nanostructures growth by pre-patterning the Si and AlN/Si substrates. The pattern was transferred to Si and AlN/Si substrates by photolithography and inductively-coupled plasma etching. GaN NRs were grown on these templates by metal-organic vapour phase epitaxy (MOVPE). GaN grown on Si pillar templates show a truncated pyramidal structure. Transmission electron microscopy measurements demonstrated clearly that the threading dislocations bend to the side facets of the GaN nanostructures and terminate. GaN growth can also be observed on the sidewalls and bottom surface between the Si pillars. A simple phenomenological model is proposed to explain the GaN nanostructure growth on Si pillar templates. Based on this model, we developed another growth method, by which we grow GaN rod structures on pre-patterned AlN/Si templates. By in-situ nitridation and decreasing of the V/III ratio, we found that GaN rods only grew on the patterned AlN/Si dots with an aspect ratio of about 1.5 - 2. (© 2010 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


    Investigation of polarity dependent InN{0001} decomposition in N2 and H2 ambient

    PHYSICA STATUS SOLIDI (C) - CURRENT TOPICS IN SOLID STATE PHYSICS, Issue S2 2009
    R. Togashi
    Abstract The polarity dependence of decomposition of the (0001) In- and (000) N-polarity InN layers grown by hydride vapor phase epitaxy (HVPE) on freestanding GaN substrates was investigated. In flowing N2, In- and N-polarity InN layers start to decompose over 550 and 610 °C, respectively. Therefore, the N-polarity InN layer is more stable than the In-polarity InN layer. On the other hand, in flowing H2, InN layers of both polarities start to react with H2 at a low temperature of 350 °C leaving In droplets on the surfaces. Further more, the decomposition rate of the N-polarity InN layer is larger than that of the In-polarity InN layer below approximately 450 °C, while the decomposition rate of the In-polarity InN layer is larger than that of the N-polarity InN above 450 °C. An Arrhenius plot of the decomposition rates revealed that the activation energies, EA, for the decomposition reactions of In- and N- polarity InN layers are 168 and 107 kJ/mol, respectively, which are much smaller than that for GaN and AlN decomposition. (© 2009 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]