MOCVD

Distribution by Scientific Domains


Selected Abstracts


Development of 230,270 nm AlGaN-based deep-UV LEDs

ELECTRONICS & COMMUNICATIONS IN JAPAN, Issue 3 2010
Hideki Hirayama
Abstract We demonstrated AlGaN multi-quantum well (MQW) deep-ultraviolet (UV) light-emitting diodes (LEDs) with wavelengths in the range of 227.5 to 273 nm fabricated on high-quality AlN buffers on sapphire substrates grown by metal-organic chemical vapor deposition (MOCVD). We realized crack-free, thick AlN buffers on sapphire with a low threading dislocation density (TDD) and an atomically flat surface by using the ammonia (NH3) pulse-flow multilayer (ML) growth technique. We obtained single-peaked operation of an AlGaN-MQW LED with a wavelength of 227.5 nm, which is the shortest wavelength of AlGaN-based LED on sapphire. The maximum output power and the external quantum efficiency (EQE) of the 261- and 227.5-nm LEDs were 1.65 mW and 0.23% in room-temperature (RT) continuous-wave (CW) operation, and 0.15 mW and 0.2% in RT pulsed operation, respectively. © 2010 Wiley Periodicals, Inc. Electron Comm Jpn, 93(3): 24,33, 2010; Published online in Wiley InterScience (www.interscience.wiley.com). DOI 10.1002/ecj.10197 [source]


Heteroleptic Guanidinate- and Amidinate-Based Complexes of Hafnium as New Precursors for MOCVD of HfO2

EUROPEAN JOURNAL OF INORGANIC CHEMISTRY, Issue 11 2010
Ke Xu
Abstract The synthesis and characterization of four new heteroleptic complexes [Hf{,2 -(iPrN)2CNMe2}2Cl2] (1), [Hf{,2 -(iPrN)2CNMe2}2Me2] (2), [Hf{,2 -(iPrN)2CMe}2Cl2] (3), and [Hf{,2 -(iPrN)2CMe}2Me2] (4) are reported. All the complexes were characterized by spectroscopic methods, while compounds 1,3 were further examined by single-crystal X-ray diffraction, revealing that the complexes are monomers with the hafnium center in a distorted octahedral geometry. The thermal properties of the chlorine-free complexes (2, 4) were examined to determine their suitability for metalorganic chemical vapor deposition (MOCVD) applications, and compound 2 showed good volatility and thermal stability. On the basis of these results, compound 2 was selected for MOCVD of HfO2 with oxygen as oxidant. Depositions were carried out on Si(100) substrates in the temperature range 300,700 °C. The as-deposited HfO2 films crystallized in the monoclinic phase at temperatures above 500 °C, and the composition analysis determined by Rutherford back-scattering (RBS) and X-ray photoelectron spectroscopy (XPS) revealed that the films were stoichiometric and free of carbon. Thus, alkylguanidinatohafnium complex 2 is a promising precursor for growing HfO2 films in a wide temperature range with the desired stoichiometry, because of its adequate volatility, sufficient temperature window between vaporization and decomposition, as well as its ability to decompose cleanly in the presence of oxygen. [source]


Insertion Reactions of an Aminogermylene and Formation of a Single-Source Precursor for GeTe Thin Films

EUROPEAN JOURNAL OF INORGANIC CHEMISTRY, Issue 14 2009
Tianniu Chen
Abstract Insertion reactions between a liquid germylene 1, and either a carbodiimide or dialkyl telluride lead to the formation of the group-14-metal(II) guanidinate complex 2 or the stable group-14-metal terminal alkyl tellurolate compound 3, respectively. The complexes 2 and 3 were structurally elucidated by multinuclear NMR and single-crystal X-ray crystallography. The use of 3 as a single-source precursor (SSP) for the MOCVD of GeTe films was demonstrated. (© Wiley-VCH Verlag GmbH & Co. KGaA, 69451 Weinheim, Germany, 2009) [source]


One-Step Preparation of Coaxial CdS,ZnS and Cd1,xZnxS,ZnS Nanowires,

ADVANCED FUNCTIONAL MATERIALS, Issue 8 2005
Y.-J. Hsu
Abstract Preparation of coaxial (core,shell) CdS,ZnS and Cd1,xZnxS,ZnS nanowires has been achieved via a one-step metal,organic chemical vapor deposition (MOCVD) process with co-fed single-source precursors of CdS and ZnS. Single-source precursors of CdS and ZnS of sufficient reactivity difference were prepared and paired up to form coaxial nanostructures in a one-step process. The sequential growth of ZnS on CdS nanowires was also conducted to demonstrate the necessity and advantages of the precursor co-feeding practice for the formation of well-defined coaxial nanostructures. The coaxial nanostructure was characterized and confirmed by high-resolution transmission electron microscopy and corresponding energy dispersive X-ray spectrometry analyses. The photoluminescence efficiencies of the resulting coaxial CdS,ZnS and Cd1,xZnxS,ZnS nanowires were significantly enhanced compared to those of the plain CdS and plain Cd1,xZnxS nanowires, respectively, owing to the effective passivation of the surface electronic states of the core materials by the ZnS shell. [source]


Influence of the mode of introduction of a reactive element on the high temperature oxidation behavior of an alumina-forming alloy.

MATERIALS AND CORROSION/WERKSTOFFE UND KORROSION, Issue 5 2004
Part I: Isothermal oxidation tests
Abstract Different modes of introduction of yttrium have been tested with regard to the influence on the high temperature oxidation behavior of a FeCral alloy. Y2O3 sol-gel coatings, Y2O3 metal-organic chemical vapor deposition (MOCVD) coatings, implanted yttrium ions and yttrium as alloying element (0.1 wt.%) in the same Fe-20Cr-5Al alloy were oxidized at 1100°C in air under atmospheric pressure. Whatever the mode of introduction of the reactive element, the oxidation rates were not decreased compared to the oxidation rate of the blank specimen. The observation of the oxidized surface indicated that the alumina scale largely spalled from the blank alloy. Spallation was reduced for the Y2O3 sol-gel coated, the Y2O3 MOCVD coated alloys and the yttrium ion implanted steels. The Y-containing alloy did not exhibit any detachment of the oxide scale, indicating the best high temperature oxidation behavior, at least from the viewpoint of scale adherence. [source]


InGaN-based 518 and 488,nm laser diodes on c -plane GaN substrate

PHYSICA STATUS SOLIDI (A) APPLICATIONS AND MATERIALS SCIENCE, Issue 6 2010
Takashi Miyoshi
Abstract We succeeded in fabricating InGaN-based laser diodes (LDs) with a wavelength of 518 and 488,nm under continuous wave (cw) operation. The both LDs structures were grown on conventional c -plane GaN substrates by metal organic chemical vapor deposition (MOCVD). The threshold current and threshold voltage were 45,mA and 5.5,V at 518,nm, 30,mA and 4.5,V at 488,nm, respectively. The lifetime test of these LDs was carried out under high driving temperature up to 80,°C in cw operation. Lifetime was estimated to be over 5000,h with an optical output power of 5,mW at 80,°C in 515,518,nm LDs from 1000,h operation, and was estimated to be over 10,000,h with an output power of 60,mW at 60,°C in 488,nm LDs from 2000,h operation. [source]


Optical properties of MOCVD HfO2 films

PHYSICA STATUS SOLIDI (A) APPLICATIONS AND MATERIALS SCIENCE, Issue 2 2009
Boris Ayupov
Abstract Hafnium(IV) 2,2,6,6-tetramethylheptane-3,5-dionate Hf(thd)4 was used for depositing HfO2 coatings by MOCVD in vertical reactor in different conditions. Monochromatic null ellipsometry was applied to characterize the film thickness and refractive index using different optical model of samples. The definition of sample optical anisotropy points to the growth of HfO2 nanocrystals during film annealing. (© 2009 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


Deep levels associated with dislocation annihilation by Al pre-seeding and silicon delta doping in GaN grown on Si(111) substrates

PHYSICA STATUS SOLIDI (A) APPLICATIONS AND MATERIALS SCIENCE, Issue 2 2008
C. B. Soh
Abstract The introduction of Si burst during the growth of GaN film on Si(111) substrate by MOCVD formed a Six Ny layer which leads to an effective reduction in the density of screw dislocations. The reduction is associated with bending of screw dislocations to form a square dislocation loop when neighbouring dislocations with opposite Burger's vector paired up. The concentration of electron traps Ec,Et ,0.17,0.26 eV which is associated with screw dislocations is substantially reduced and a kink is left at the silicon rich position. The mixed-edge dislocation, however, is not annihilated by the Six Ny layer. Addition of TMAl burst for the AlN growth leads to a substantial reduction in trap concentration associated with the nitrogen vacancies, VN, and antisite of nitrogen, NAl, at Ec,Et ,0.10 eV and Ec,Et , 0.60 eV respectively. This improves the quality of the subsequent layer of HT-GaN grown and is useful for device fabrication. (© 2008 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


Photoluminescence and Hall studies of GaN:Fe and (Ga,Fe)N:Mg layers

PHYSICA STATUS SOLIDI (A) APPLICATIONS AND MATERIALS SCIENCE, Issue 1 2007
M. Wegscheider
Abstract Temperature dependent photoluminescence (PL) in the ultraviolet (UV) regime and Hall measurements at room temperature have been performed on Metal-Organic-Chemical-Vapour-Deposition (MOCVD) grown GaN:Fe and (Ga,Fe)N:Mg layers. PL measurements were employed in order to study the dopants' influence on the near-band edge excitonic emission and their tendency to provoke the formation and suppression of defects or incorporation of impurities. For their identification and for the understanding of the PL spectra the evaluation of the free carrier concentrations via Hall measurements were necessary. Depending on the iron concentration of the (Ga,Fe)N layers, the near-band edge emission goes through two different stages: at low Fe-concentration no excitonic emission can be seen whereas with higher doping levels, excitonic features develop. The (Ga,Fe)N films exhibit n-type behaviour. The Mg codoped samples show strong Mg and defect related luminescence bands, whose occurrence and intensity also strongly depends on whether high or low Fe concentration is present. The (Ga,Fe)N:Mg layers were semi insulating. (© 2007 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


Polarized Raman scattering studies of nonpolar a -plane GaN films grown on r -plane sapphire substrates by MOCVD

PHYSICA STATUS SOLIDI (A) APPLICATIONS AND MATERIALS SCIENCE, Issue 15 2006
Haiyong Gao
Abstract Nonpolar (110) a -plane GaN thin films were grown on r -plane (102) sapphire substrates by low-pressure metal organic chemical vapor deposition (MOCVD). The stress characteristics of the a -plane GaN films were investigated by means of polarized Raman scattering spectra in backscattering configurations. The experimental results show that there are strong anisotropic in-plane stresses within the epitaxial a -plane GaN films by calculating the corresponding stress tensors. The temperature dependence of Raman scattering spectra was studied in the range from 100 K to 550 K. The measurements reveal that the Raman phonon frequencies decrease with increasing temperature. The temperature at which nonpolar a -plane GaN films are strain free is discussed. (© 2006 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


Effects of Si doping position on the emission energy and recombination dynamics of GaN/AlGaN multiple quantum wells

PHYSICA STATUS SOLIDI (A) APPLICATIONS AND MATERIALS SCIENCE, Issue 1 2006
Hamid Haratizadeh
Abstract We report results from detailed optical spectroscopy from MOCVD grown GaN/Al0.07Ga0.93N multiple quantum wells (MQWs). Effects of Si doping position on the emission energy and recombination dynamics were studied by means of photoluminescence (PL) and time-resolved PL measurements. The samples were Si doped with the same level but different position of the dopant layer. Only the sample doped in the well shows the MQW emission redshifted compare to the GaN bandgap. The redshift is attributed to the self-energy shift of the electron states due to the correlated motion of the electrons exposed to the fluctuating potential of the donor ions. At low temperature the PL decay time of the sample doped in the well by a factor of two is longer than for the barrier doped case. The difference is explained by the effect of interplay of free carriers and ions on the screening of the polarization field in these doped structures. (© 2006 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


Investigation of InN layers grown by MOCVD using analytical and high resolution TEM: The structure, band gap, role of the buffer layers

PHYSICA STATUS SOLIDI (A) APPLICATIONS AND MATERIALS SCIENCE, Issue 1 2006
P. Ruterana
Abstract In this work we investigate the microstructure of InN layers grown by MOCVD on different buffer layers using TEM (InN, GaN). The large mismatch between the various lattices (InN, sapphire or GaN) leads to particular interface structures. Our local analysis allows to show that at atomic scale, the material has the InN lattice parameters and that no metallic In precipitates are present, meaning that the PL emission below 0.8 eV is a genuine property of the InN semiconductor. It is also shown that the N polar layers, which exhibit a 2D growth, have poorer PL emission than In polar layers. (© 2006 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


High efficiency AlGaInN-based light emitting diode in the 360,380 nm wavelength range

PHYSICA STATUS SOLIDI (A) APPLICATIONS AND MATERIALS SCIENCE, Issue 1 2003
Hisao Sato
Abstract High performance LEDs emitting in the wavelength range 360,380 nm, are fabricated on sapphire substrates by one-time metalorganic chemical vapor deposition (MOCVD) without using epitaxial lateral overgrowth (ELO) or similar techniques. By improving layer structures and growth conditions, the output power of the LEDs was much improved. The light output power of the LEDs at an injection current of 20 mA is 3.2 mW, 2.5 mW and 1 mW at wavelengths of 378 nm, 373 nm and 363 nm, which correspond to an external quantum efficiency of 4.8%, 3.8% and 1.4%, respectively. (© 2003 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


Growth and characterization study of multidimensional hierarchical ZnO nanostructures

PHYSICA STATUS SOLIDI (B) BASIC SOLID STATE PHYSICS, Issue 5 2007
Dong Jun Park
Abstract ZnO multidimensional hierarchical structure was successfully fabricated with the sequence of ZnO top layers/ZnO nanorods/ZnO buffer/Si(111) substrate by continuous controlling growth condition in metal organic chemical vapor deposition (MOCVD) system. Transmission electron microscopy (TEM) showed that ZnO nanorods in hierarchical structure had a single crystal hexagonal wurtzite structure with ,0001,ZnO growth direction. Only near band edge (NBE) emissions with very weak deep level emission are observed around 3.28 eV. This indicates that ZnO hierarchical structure grown by MOCVD shows a good optical quality and less interior defects. (© 2007 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


Improvement of crystal quality of GaN grown on AlN template by MOCVD using HT-AlN interlayer

PHYSICA STATUS SOLIDI (C) - CURRENT TOPICS IN SOLID STATE PHYSICS, Issue S2 2009
Tao Yuebin
Abstract Two GaN samples, with and without high temperature (HT)-AlN interlayer (labelled as sample A and B, respectively) grown by MOCVD on AlN template, were investigated by double-crystal X-ray diffraction (DC-XRD), photoluminescence (PL), and atomic force microscope (AFM) measurements. It was found that the crystal quality of GaN could be greatly improved by the HT-AlN interlayer. The full width at half maximum (FWHM) of (102) reflection in XRD rocking curve was narrower for sample A than that for sample B. However, the FWHMs of (002) reflections were almost the same for the two samples. In addition, the tilt degree which reflected screw dislocation density was almost the same, while the twist degree which reflected edge dislocation density changed from 0.214° to 0.152° when the HT-AlN interlayer was used. Both the intensities of (102) reflection in XRD and band edge emission in PL for sample A were stronger too. In the AFM images, the atomic growth steps of sample A were clearer than those of sample B. According to the results of the in situ optical reflectivity spectra and the atomic force microscope (AFM) images, the above results were attributed to the three-dimensional (3D) growth mode of the HT-AlN interlayer. The HT-AlN interlayer may work as a kind of "micro-area" seed for epitaxial lateral overgrowth (ELOG) resulting in bending some dislocations. (© 2009 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


Spontaneous stratification of InGaN layers and its influence on optical properties

PHYSICA STATUS SOLIDI (C) - CURRENT TOPICS IN SOLID STATE PHYSICS, Issue S2 2009
Z. Liliental-Weber
Abstract Transmission Electron Microscopy, high resolution X-ray diffraction and reciprocal space maps, Rutherford Back Scattering and photoluminescence were applied to study InGaN layers grown by MOCVD with increasing layer thickness (100 nm to 1000 nm) and nominally constant In concentration of 10%. Spontaneous stratification of the layer has been found. A strained layer with lower than nominal In content was found in direct contact with the underlying GaN followed by relaxed layers with a nominal or higher In concentration. A high density of randomly distributed stacking faults as well as domains with cubic structure and closely distributed stacking faults (polytype-like) were present in the thicker layers. Strong corrugation of the thicker sample surface was observed. The appearance of multiple photoluminescence line positions was related not only to the spontaneously formed layers with different In content, but also to the structural planar defects formed in the thicker layers. (© 2009 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


The effect of absorption layer of different quantum well arrangement on optoelectronic characteristics of nitride-based photovoltaic cells grown by MOCVD

PHYSICA STATUS SOLIDI (C) - CURRENT TOPICS IN SOLID STATE PHYSICS, Issue S2 2009
Y. K. Fu
Abstract In this study, III-nitride solar cells with multi-quantum well (MQW) absorption layer were grown on sapphire substrates by metal organic chemical vapor deposition (MOCVD). The effect of different quantum well (QW) arrangement on optoelectronic characteristics of III-nitrides photovoltaic cells was investigated. It was found that the upper quantum well (QW) layer will dominate electroluminescence (EL) emission mechanism and the electrical characteristics of solar cell. The advantage of modulating the short-circuit current density (JSC) and open-circuit voltage (VOC) can be obtained by different arrangement of blue and green QW in MQW absorption layer. The optimum electrical characteristics of solar cell with a JSC of 0.30 mA/cm2, a VOC up to 1.51 V, fill factor (FF) as high as 0.601, and a series resistance (RS) of 9 , can be obtained by using MQW absorption layer. (© 2009 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


M -plane InGaN/GaN light emitting diodes fabricated by MOCVD regrowth on c -plane patterned templates

PHYSICA STATUS SOLIDI (C) - CURRENT TOPICS IN SOLID STATE PHYSICS, Issue 9 2008
Christopher A. Schaake
Abstract In this work we demonstrate a light emitting diode (LED) with m -plane quantum wells fabricated on a (000) template. N-polar, n-type GaN was grown by MOCVD on vicinal sapphire substrates. Stripes, measuring 500 nm wide, 500 nm tall and spaced 2 ,m apart, were etched parallel to the ,110, direction leading to sidewalls that are approximately {100}. Sputtered AlN was used as a regrowth mask on the c -plane surfaces. An active region consisting of 5 InGaN quantum wells and GaN barriers followed by p-type was grown. The regrowth occurred mostly on the exposed m -plane sidewalls, leading to lateral growth in the ,100, direction. The LED was processed using conventional methods. A thick metal contact was used to connect the p-regions together. Current vs. voltage measurements showed good rectifying behavior with a turn on of about 6 volts. On-wafer electroluminescence measurements revealed a peak wavelength of 422 nm. (© 2008 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


Determination of the Mg occupation site in MOCVD- and MBE-grown Mg-doped InN using X-ray absorption fine-structure measurements

PHYSICA STATUS SOLIDI (C) - CURRENT TOPICS IN SOLID STATE PHYSICS, Issue 6 2008
Takao Miyajima
Abstract We analyzed the atomic structure around Mg atoms in MOCVD- and MBE-grown Mg-doped InN using Mg K-edge X-ray absorption fine-structure (XAFS) measurements. Our experimental data closely fit to the simulated data in which Mg atoms occupy the substitutional sites of In atoms. From this result, we conclude that Mg atoms essentially occupy not N atoms sites but In atoms sites, meaning that Mg atoms can act as acceptors in InN. We believe that observations of p-type conductivity are prevented by problems such as carrier compensation and electron accumulation at the surface. (© 2008 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


Selective area heteroepitaxy of low dimensional a -plane and c -plane InGaN nanostructures using pulsed MOCVD

PHYSICA STATUS SOLIDI (C) - CURRENT TOPICS IN SOLID STATE PHYSICS, Issue 6 2008
V. Jindal
Abstract High density a -plane and c -plane InGaN nanostructures have been developed by nanoscale selective area epitaxial growth using pulsed MOCVD. SiO2 was used as a mask with nanopatterning through an anodic aluminum oxide template. The lateral dimensions of the pattern were controlled and varied from 30 nm to 180 nm by changing the anodization voltage and the electrolyte. Different substrates such as a -plane GaN on r -plane sapphire, r -plane sapphire and c -plane sapphire were used to develop InGaN nanostructures in a - and c - crystallographic directions respectively. Under identical growth conditions, InGaN nanostructures of various shapes were obtained on different substrates with differences in emission wavelength. The shape of the nanostructures on different substrates is discussed with respect to the stability and growth rates of various planes. However, the difference in emission wavelength is attributed to the In incorporation in the nanostructures. The optical properties of the nanostructures were studied by photoluminescence (PL) spectroscopy. (© 2008 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


Raman scattering analysis of GaN with various dislocation densities

PHYSICA STATUS SOLIDI (C) - CURRENT TOPICS IN SOLID STATE PHYSICS, Issue 6 2008
T. Kitamura
Abstract We characterized GaN crystals with various dislocation densities by micro-Raman spectroscopy. Defects and Strain for the GaN layer were examined through measurements of the Raman shift and the width of the TO phonon bands. The broadening of Raman bands in GaN crystals occurred as the dislocation density increased. The up-shift of the peak frequencies corresponding to compressive strain was observed for the heteroepitaxial samples grown by MOCVD and MBE. The in-plane distribution of defects and strain in epilayers with various dislocation densities was also examined by Raman mapping. The Raman maps showed that the examined phonon frequency and band width in samples fluctuated spatially. The increase of dislocation density in GaN epilayers induced not only the broadening of Raman bands but also increase of fluctuation. (© 2008 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


A low-leakage and reduced current collapse AlGaN/GaN heterojunction field effect transistor with AlOx gate insulator formed by metal-organic chemical vapor deposition

PHYSICA STATUS SOLIDI (C) - CURRENT TOPICS IN SOLID STATE PHYSICS, Issue 6 2008
H. Sazawa
Abstract We report on metal-insulator-semiconductor (MIS) heterostructure field-effect transistor (HFET) with AlOx gate insulator formed by metal-organic chemical vapor deposition (MOCVD) method designed for achieving low gate leak and less current collapse. The AlOx insulator was formed successively onto the MOCVD-grown AlGaN/GaN using trimethylaluminum (TMA) and n-butyl ether as precursors. Flowing gas during the AlOx/AlGaN interface formation was mainly consisted of ammonia and nitrogen with the aim of preventing N vacancy creation and oxide formation on the AlGaN that could lead to the current collapse. The fabricated devices were evaluated under direct current mode. The gate leak current of the MIS-HFET was three orders of magnitude lower than that of conventional (no insulator) HFET. The change in transition drain current examined as indicator of magnitude of the current collapse was found to be smaller in the MIS-HFET than in the HFET. Advantages of the novel wafer preparation method and the MIS-HFET were demonstrated. (© 2008 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


Formation of nitride laser cavities with cleaved facets on transferred laser diodes on GaAs substrates

PHYSICA STATUS SOLIDI (C) - CURRENT TOPICS IN SOLID STATE PHYSICS, Issue 6 2008
Wen-Chien Yu
Abstract Smoothly cleaved facets with high reflectivities have been demonstrated on GaN laser diodes after the devices were transferred onto GaAs substrates. The GaN based laser diode structure was first fabricated by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The samples were then mounted onto thin GaAs substrates using wafer-bonding technology. Laser lift-off (LLO) technique was applied to remove the original sapphire substrate and transfer the GaN laser structure onto GaAs substrates. Since the cubic substrates have well-defined laser cavity cleavage facet, the GaN structures bonded onto the substrates also formed smooth facets after cleavage. The cleaved facets of GaN laser diodes have been characterized using atomic force microscopy (AFM) with less than 2 nm roughness. The present study demonstrated the feasibility of transferring GaN laser structures onto other more appealing substrates for formation of laser cavities. (© 2008 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


Low-cost growth of AlN using vectored-flow epitaxy for the purpose of water sterilisation in a rural environment

PHYSICA STATUS SOLIDI (C) - CURRENT TOPICS IN SOLID STATE PHYSICS, Issue 2 2008
Matthew Branch
Abstract The high V/III ratio required for the Metalorganic Chemical Vapour Deposition (MOCVD) growth of AlN using the precursors trimethylaluminium and ammonia have contributed to the excessive costs of producing ultra violet light emitting diodes (UVLEDs) which can be used in water purification. This paper introduces a novel growth technique, vectored-flow epitaxy (VFE), which maintains the separation between gaseous reagents within the growth chamber. In addition, the VFE technique, which employs atmospheric pressure growth, also pre cracks the ammonia prior to injection and thereby significantly reduces the high V/III ratio required for the growth of this material. The pre cracked ammonia also removes the need for high growth temperatures, which is known to be necessary for its thermal decomposition. With this technique, 2 ,m/h growth is possible at a V/III ratio of 50. These advances will contribute to the development of a cost-effective solution to the treatment of drinking water in a rural environment. (© 2008 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


Effect of deposition conditions on the growth rate and electrical properties of ZnO thin films grown by MOCVD

PHYSICA STATUS SOLIDI (C) - CURRENT TOPICS IN SOLID STATE PHYSICS, Issue 2 2008
K. T. Roro
Abstract ZnO thin films have been grown on glass substrates by MOCVD. The effect of deposition conditions such as VI/II molar ratio, DEZn flow rate and total reactor pressure on the growth rate and electrical properties of the films was studied. It is found that the growth rate decreases with an increase in the VI/II molar ratio. This behaviour is ascribed to the competitive adsorption of reactant species on the growth surface. The growth rate increases with an increase in DEZn flow rate, as expected. It is shown that the carrier concentration is independent of the DEZn flow rate. An increase in the total reactor pressure yields a decrease in growth rate. This phenomenon is attributed to the depletion of the gas phase due to parasitic prereactions between zinc and oxygen species at high pressure. (© 2008 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


Buffer breakdown voltage of AlGaN/GaN HFET on a 4 inch Si(111) substrate grown by MOCVD

PHYSICA STATUS SOLIDI (C) - CURRENT TOPICS IN SOLID STATE PHYSICS, Issue 7 2007
Masayuki Iwami
Abstract The buffer breakdown voltage and the electron mobility in a two dimensional gas (2DEG) of AlGaN/GaN HFETs on 4 inch Si(111) substrates grown by metalorganic chemical vapor deposition was investigated. The relationship between the electrical properties of HFETs and the crystalline quality of the GaN layer are studied by X-ray diffraction and photoluminescence measurements. The buffer breakdown voltage decreases with an increase in the FWHM of the X-ray (0002) diffraction peak. The electron mobility in a 2DEG decreases with an increase in FWHM of the X-ray (102) diffraction peak. These results indicate that a screw component of the threading dislocations in the GaN layer is a primary source for buffer leakage, and that edge dislocations in the GaN layer have a scattering effect on the 2DEG transport. (© 2007 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


GaN/air gap based micro-opto-electro-mechanical (MOEM) Fabry-Pérot filters

PHYSICA STATUS SOLIDI (C) - CURRENT TOPICS IN SOLID STATE PHYSICS, Issue 7 2007
E. Cho
Abstract Structural and optical properties of Fabry-Pérot filters (FPFs) with GaN/air gap based distributed Bragg reflectors (DBRs) were studied. Reflectance of GaN/air gap DBRs on sapphire substrate was calculated from the standard transmission matrix method and results showed that 98% reflectance is achievable with only 3.5 pairs at a center wavelength of 450 nm. The thickness of the GaN layer and the first AlN layer was determined according to the deformation induced by the residual stress. In-plane strain corresponding to growth conditions and the thickness of the GaN epilayer was considered for this analysis. Optical tuning efficiency and spectral range were found to be 0.27 and 25 nm respectively for FPFs with GaN/air gap (322 nm/113 nm) based DBRs and a ,0/2 air resonant cavity. The calculated pull-in voltage was 1.5 V. Crack free AlN grown on GaN by in-house MOCVD showed an etching rate of 0.2 nm/min. (© 2007 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


Blue light emitting diode fabricated on a-plane GaN film over r-sapphire substrate and on a-plane bulk GaN substrate

PHYSICA STATUS SOLIDI (C) - CURRENT TOPICS IN SOLID STATE PHYSICS, Issue 7 2007
Y. Naoi
Abstract We studied the growth technique for the dislocation reduction in a-plane GaN grown by metal organic chemical vapour deposition (MOCVD) using AlInN buffer layer, high temperature atomic layer epitaxy, and trenched r-sapphire technique. By using these techniques, the crystal quality was much improved. We also fabricated blue light emitting diodes (LEDs) on a-plane GaN film over r-sapphire substrate and on a-plane bulk GaN substrate. The electroluminescence (EL) characteristics of the LED samples were examined, and we found that the EL near field pattern from homo-epitaxially grown a-GaN based LED was spatially uniform, although the pattern from the LED on r-sapphire substrate was not uniform. The output power at the wavelength of 430nm was 0.72mW at the 20mA injection current for the sample on a-plane bulk GaN. (© 2007 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


Process simulation of p -doping in GaN and related group III nitrides

PHYSICA STATUS SOLIDI (C) - CURRENT TOPICS IN SOLID STATE PHYSICS, Issue 5 2007
Y. J. Zhou
Abstract In this work we use the PROCOM sofware to model Mg doped GaN film growth by MOCVD. The 2/3D conservation equations of mass, energy, momentum and species are solved by the nonsymmetric conjugate gradient method with block preconditioning (H. C. Elman, Preconditioned conjugate gradient methods for nonsymmetric systems of linear equations (Yale University Research Report, 1981) [5]). A kinetics model with gas/surface adduct formation has been incorporated with detailed Mg dopant reaction mechanism. We reproduced broad doping profiles caused by memory effects and verified that the formation of (NH3)2 -MgCp2 and NH3 -MgCp2 adducts play an important role in p-doping of GaN and related Group III nitrides. (© 2007 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]


AlGaN films grown on trenched sapphire substrates using a low-temperature GaNP buffer layer by MOCVD

PHYSICA STATUS SOLIDI (C) - CURRENT TOPICS IN SOLID STATE PHYSICS, Issue 6 2006
K. Sumiyoshi
Abstract A technique has been developed to grow low-dislocation-density AlGaN films in this paper. The AlGaN film is laterally overgrown on a trenched sapphire substrate with a low-temperature (LT) GaNP buffer layer by metalorganic chemical vapour deposition (MOCVD). The optical charactertics and microstructure of the AlGaN films have been invesigated by means of cathodoluminescence (CL), high-resolution X-ray diffraction (HRXRD), scanning electron microscopy (SEM) and transmission electron microscopy (TEM) techniques. It is shown that the dislocation densities in the Al0.07Ga0.93N film are reduced to 8.7 x 108 cm,2 (by CL) and 2.7 x 109 cm,2 (by TEM) in the whole trenched sapphire, and 4.0 x 108 cm,2 (by CL) and 1.3 x 109 cm,2 (by TEM) in the trenched area. (© 2006 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim) [source]